Latest news with #PantherLake
Yahoo
4 days ago
- Business
- Yahoo
Intel Says Its 18A Node is 25% Faster Than Intel 3
Intel has great things to say about its upcoming 18A node, but it's using some tough-to-verify comparisons. Intel made its claims at the 2025 Symposium on VLSI Technology in Japan, according to PC Gamer. The chipmaker compared its 18A node with the Intel 3 node, which didn't lead to chips in laptops. So, for now, the performance improvement numbers are just mildly interesting, rather than data with which to make any decisions. The company's more interesting claims boil down to this: a chip based on the 18A process can be up to 25% faster. If you stick to the same speed as Intel 3, 18A is 36% more efficient. That sounds intriguing, but the comparison that potential customers will make is not between 18A and Intel 3; it will be between Intel's 18A and TSMC's N2, which is the 2-nm class node. Intel's chief rival in the processor space, AMD, already has TSMC producing its next generation of Epyc data center CPUs on the N2 node. In any event, we'll have answers with the arrival of Intel's upcoming Panther Lake laptop CPUs. Samples of the system-on-a-chip (SoC) made an appearance at Computex this summer, proving that the vaunted 18A node is about ready for production. Intel estimates that it will start production of the chips sometime this year. As for when we'll see laptops with Panther Lake chips in them? That's looking more like early 2026, says Intel. Credit: Intel Intel's 18A node is nearing completion at a crucial time for the company. It is the final node in former CEO Pat Gelsinger's five-nodes-in-four-years plan, which was meant to revitalize Intel's fortunes. Gelsinger hoped to develop Intel's manufacturing capabilities into a successful foundry business, but the journey was slow and saw setbacks. When Intel spun its manufacturing into a foundry business in the spring of 2024, the company revealed that the foundry business was losing billions every year. And profitability wasn't expected until 2030. The company kicked off its ambitious plan with the Intel 7, which was followed by the 4 and then the 3 node. At that point, Intel changed its naming convention with the 20A node. The 20A node ended up being scrapped, however, and Intel turned its attention entirely to making 18A, which is the process that will be used to make Panther Lake chips, a success. At this point, 18A has reached risk production and appears well on its way to crossing the finish line. Gelsinger, meanwhile, is no longer with Intel, which has a new leader in CEO Lip-Bu Tan.
Yahoo
4 days ago
- Business
- Yahoo
Intel details 18A process technology — takes on TSMC 2nm with 30% density gain and 25% faster generational performance
When you buy through links on our articles, Future and its syndication partners may earn a commission. Intel has published a paper about its 18A (1.8nm-class) fabrication process at the VLSI 2025 symposium, consolidating all its information about the manufacturing technology into a single document. The new 18A production node is expected to deliver significant improvements in power, performance, and area over its predecessor, increasing density by 30% while enhancing performance by 25% or reducing power consumption by 36%.But, perhaps more importantly, 18A will be Intel's first process technology in years that will compete head-to-head with TSMC's leading-edge technology when both enter mass production in the second half of this year. Intel's 18A process node is designed for a wide range of range of products across both client and datacenter applications, and the first Intel's product to use it will be the Panther Lake CPU, which is due to be formally announced later this year. To address different applications, Intel 18A has two libraries: high-performance (HP) with 180nm cell height (180CH) and high-density (HD) with 160nm cell height (160CH) for lower-power applications. Intel 3 vs Intel 4 18A vs Intel 3 Power ? 36% (at 1.1V) - 38% (at 0.75V) Performance 18% (?) 18% (at 0.75V) - 25% (1.1V) Density - 1.3X SRAM Cell Size 0.024 µm² 0.021 µm² Transistor FinFET RibbonFET GAA Power Delivery Front-side PowerVia BSPDN HVM mid-2024 H2 2025 Intel says that compared to Intel 3, its 18A fabrication technology boosts performance by 25%. It manages to achieve this without increasing voltage or circuit complexity when running a typical Arm core sub-block, implemented using a 180CH HD library at 1.1. When operating at the same clocks and 1.1V voltage, it also cuts power usage by 36% compared to the same design on Intel 3. At a reduced voltage of 0.75V, 18A offers an 18% speed increase and uses 38% less energy. Furthermore, designs fabricated on 18A occupy roughly 28% less area than those built with Intel 3. There is a major catch about comparison of voltages between Intel 3 and 18A. The former supports <0.6V, 0.75V, 1.1V, and 1.3V, which makes it particularly suitable for data center devices. This type of workload needs to burst to high clocks, across dozens of cores when demanding peak performance. Then, it'll need to throttle down to a low-power state to save power. To contrast, 18A seems to support 0.4V, 0.75V, and 1.1V, which very good for client PCs and data center CPUs, but may not be ideal for processors that need maximum clock speeds. However, other advantages of Intel's 18A will likely offset the lack of 1.3V support for the vast majority of applications (more on this later). As for SRAM, Intel's 18A process includes a high-density SRAM bit cell measuring 0.021 µm², translating to an SRAM density of roughly 31.8 Mb/mm². This is a major improvement over the 0.024 µm² bit cell used in Intel 4. This puts Intel 18A on par with TSMC's N5 and N3E nodes in terms of SRAM density. However, TSMC's upcoming N2 process goes further, reducing the bit cell to approximately 0.0175 µm² and achieving a higher density of around 38 Mb/mm². Tom's Hardware Intel 7 Intel 4 Intel 3 Intel 18A Contacted Poly Pitch 54nm/60nm 50 nm 50 nm 50 nm Fin Pitch 34 nm 30 nm 30 nm ? M0 Pitch 40 nm 30 nm 30 nm 32 nm High Performance Library Height 408 nm 240 nm 240 nm 180 nm High Density Library Height - - 210 nm 160 nm HP Library Height x CPP 24.4K nm² 12K nm² 12K nm² 9K nm² HD Library Height x CPP - - 10.5K nm² 8K nm² Intel's 18A relies on the company's 2nd generation RibbonFET gate-all-around (GAA) transistors, and a PowerVia backside power delivery network (BSPDN). We investigate exactly how Intel managed to implement GAA transistors and BSPSN below. In GAA transistors, the gate completely wraps around the channel, offering superior electrostatic control compared to FinFETs, which only wrap around on three sides. Such an architecture enables engineers to finely tune device characteristics for either high performance or low power consumption by adjusting the total effective channel width (Weff). This is typically achieved by varying the width and number of stacked nanosheets. More sheets, alongside wider sheets, can increase drive current and performance at the cost of power, while fewer or narrower sheets reduce both performance and power consumption. Intel's 18A RibbonFET transistors feature four nanoribbons and support eight distinct logic threshold voltages (VTs) — four for NMOS, and four for PMOS — spanning a 180mV range. This level of VT granularity is achieved through dipole-based work-function tuning, a method that allows precise control of transistor behavior without altering its physical dimensions. This approach is especially important, given the tight spatial constraints in GAA transistor structures, such as RibbonFETs, where traditional methods, like doping adjustments, are limited. An Intel graph from the paper shows that despite this wide VT range, the transistors exhibit strong electrical characteristics, including steep subthreshold slopes and well-behaved drive currents across both Id–Vg and Id–Vd curves. These results confirm that Intel has successfully maintained device performance and control across the entire VT spectrum, which enables flexible circuit design choices that balance frequency, power, and leakage within the same process. Intel's PowerVia backside power delivery network (BSPDN) relocates power delivery from the top metal layers to the rear side of the chip, creating a physical separation between power and signal wiring. This technique addresses issues like rising resistance in the vertical connections of in the back-end-of-line (BEOL) layers, which in turn enhances transistor efficiency and reduces power usage. Additionally, it prevents signal degradation caused by power interference and allows for tighter packing of logic elements, increasing overall circuit density. Intel's PowerVia delivers power to transistor contacts, which is a slightly less sophisticated approach compared to TSMC's Super Power Rail (coming in 2H 2026 along with A16), which connects directly to each transistor's source and drain. In addition to BSPDN, Intel also implemented its new high-density metal-insulator-metal (MIM) capacitor to enhance power supply stability. Intel has now disclosed the key benefits of its backside power routing. First up, PowerVia increases transistor density by 8% to 10%, which is quite a sizeable part of 18A's overall 1.3X transistor density increase over Intel 3. Secondly, the front-side metal layers in its 18A process achieve approximately 12% better resistance-capacitance (RC) performance and show a 24% to 49% decrease in via resistance compared to Intel 3, thanks to improved metallization techniques and the use of ultra-low-k dielectrics. Thirdly, 18A's PowerVia reduces voltage droop compared to Intel 3 (the worst-case scenario for Intel 3) by up to 10 times. Lastly, BSPDN simplifies chip design as it simplifies the routing of signal and power wires. Since PowerVia is the industry's first backside power delivery network (BSPDN) used in mass production, Intel also presented reliability test results. These demonstrate its long-term durability and chip-package interaction (CPI) performance. According to JEDEC-standard TQV tests, PowerVia passed multiple stress conditions with zero failures, including highly accelerated stress testing at 110°C and 85% humidity for 275 hours, extended high-temperature bake tests up to 1000 hours at 165°C, and 750 cycles of temperature swings from –55°C to 125°C. These results confirm that PowerVia can withstand harsh operating environments, without compromising structural or electrical integrity. In addition to CPI reliability, Intel evaluated the impact of PowerVia on SRAM aging and performance stability. Under conditions equivalent to 1000 hours of high-temperature operation, SRAM arrays maintained stable minimum operating voltage (Vmin) with margin, showing no signs of degradation. This suggests that PowerVia does not negatively affect sensitive on-chip memory and is robust enough to support both digital logic and embedded SRAM under extended stress. Together, these findings are meant to affirm PowerVia's readiness for deployment in high-performance, long-lifecycle computing platforms. In addition to improving performance, reducing power consumption, and enabling higher transistor density, Intel's 18A simplifies production flows and simplifies chip design. By moving power delivery to the backside, Intel eliminates the need for a front-side power grid, which, combined with direct EUV patterning, lowers the total number of masks and simplifies the front-end metal process. By using low-n absorber reticles with tailored dimensional adjustments, Intel also enabled single-pass EUV patterning for the M0–M2 metal layers. This simplification of the lower metal layers reduces process complexity and helps offset the cost of adding extra backside metal layers, which are based on mature, low-cost fabrication techniques. As a result, the overall design process becomes easier and cheaper. In addition, the backside metal layers of 18A's PowerVia are designed for low resistance and high thermal conductivity, which helps manage the increased power density from the GAA transistors. Also, carrier wafer bonding is optimized for heat removal through the backside, addressing the thermal challenges introduced by high-performance transistors. Finally, PowerVia is compatible with advanced packaging methods like Foveros and EMIB, though we already know this from the fact that Panther Lake uses 18A tiles as well as Foveros 3D. Intel's comprehensive technical overview of its 18A process node has highlighted the architecture, performance, and manufacturability improvements that position it as a competitor to TSMC's upcoming N2. The 18A process introduces Intel's second-generation RibbonFET (GAA) transistors and the industry's first mass-production-ready backside power delivery network, PowerVia. Together, these innovations enable up to 25% higher performance or 36% lower power consumption compared to Intel 3, while also increasing transistor density by about 30%. Intel's PowerVia contributes an 8–10% density gain, 12% RC improvement in metal layers, and up to 10 times lower voltage droop. The new node has also passed stringent JEDEC reliability tests, including 1000-hour high-temperature aging and extensive thermal cycling to verify that it can be used for designs meant to work for a long time. Additionally, Intel further streamlined front-end patterning using single-pass EUV at M0–M2, thereby reducing mask counts and simplifying the design. However, whether or not 18A can help Intel restore some of the lustre to its brand remains to be seen, as the company continues to wade its way through rocky waters. Follow Tom's Hardware on Google News to get our up-to-date news, analysis, and reviews in your feeds. Make sure to click the Follow button.
Yahoo
09-06-2025
- Business
- Yahoo
Why Intel's (INTC) Comeback Might Happen Sooner Than Expected
As an investor who's watched Intel's (INTC) challenges unfold over the past few years, I'm genuinely excited about Lip-Bu Tan stepping in as CEO. Tan's approach is both bold and strategic—the exact combination Intel desperately needs. He's already making aggressive moves to restructure the company, ramp up investments in manufacturing and AI, and put the foundry business at the forefront. Despite the plethora of both internal and external turmoil, INTC stock has managed to post a flat first six months of 2025. Easily unpack a company's performance with TipRanks' new KPI Data for smart investment decisions Receive undervalued, market resilient stocks right to your inbox with TipRanks' Smart Value Newsletter Tan is steering Intel not only to reclaim its former dominance but potentially to exceed it. I'm bullish on the outlook—but realistic, too—knowing it will take time for Intel to solidify its AI foothold and deliver meaningful returns in the years ahead. Since taking the helm as CEO in March 2025, Tan has wasted no time launching a significant restructuring to slash layers of middle management that have long bogged down Intel's innovation and decision-making. The plan calls for reducing the workforce by about 20%—a tough but necessary move to streamline the organization and accelerate its agility. This isn't just about trimming costs; it's about making Intel leaner and faster. The company aims to reduce operating expenses to around $17 billion by FY 2025. While layoffs are never easy, Intel has grown bloated compared to rivals and now faces an intensely competitive market where survival—and success—demand swift action. As things stand, Intel lags behind its sector peers on several key metrics. I'm confident that a leaner Intel will be sharper, faster, and better positioned to innovate boldly and prove its strength to the competition. However, Tan must handle the workforce cuts with care, focusing on retaining key talent and maintaining morale through a strong, principled leadership approach. Arguably, Tan's boldest move is his unwavering commitment to Intel's advanced manufacturing, especially the cutting-edge 18A node (1.8nm) technology. Set to power Intel's Panther Lake CPUs by late 2025, this breakthrough could dramatically boost energy efficiency and performance, potentially allowing Intel to finally compete toe-to-toe with giants like TSMC (TSM) and Samsung (SSNLF). On the foundry front, Intel Foundry Services (IFS) is gaining serious momentum. High-profile deals—most notably with Microsoft (MSFT)—to utilize Intel's 18A process could transform Intel into a fierce contender in the foundry market. Securing a few more marquee contracts from the likes of Nvidia (NVDA) or Apple (AAPL) would be a game-changer, sparking a significant surge in investor confidence and substantially lifting Intel's valuation. That said, delays in the massive Ohio manufacturing facility, now pushed back to around 2030, raise concerns about capacity constraints and potential missed opportunities if chip demand suddenly spikes. Intel's challenge going forward will be striking the right balance in capital allocation and maintaining a nimble leadership team ready to seize emerging market opportunities quickly. Looking ahead to 2028, Intel's financial health will largely depend on how well it executes its strategy. Analysts project relatively flat to slightly declining revenue in FY 2025, hovering between $50 billion and $53 billion, with a modest recovery expected afterward. Earnings per share of around $0.30 for 2025 seem reasonable, given the current margin pressures. In my base-case outlook, Intel modestly rebounds to about $60 billion in revenue by 2028, with earnings per share approaching $3.00. This scenario would support a share price rising to around $40 from today's $20—a steady but unspectacular return over three years, especially with the dividend still cut during the turnaround. However, in a bull-case scenario, if Intel secures major foundry contracts and capitalizes on strong AI-driven demand, earnings per share could reach $5.00 by 2028, pushing shares into the $70 to $90 range. Conversely, if Intel falters in execution, revenue stagnates, and growth stalls, the stock could remain stuck in the $20s with limited upside potential. Intel is facing significant challenges, and execution, especially talent retention and deployment, will be critical. Any delays or yield issues with the 18A node could undermine confidence and push foundry customers toward competitors like TSMC. Additionally, Intel faces intense competition in chip design from Nvidia (NVDA) and AMD (AMD). Still, I believe the opportunities outweigh the risks. Despite dominant rivals, Intel's valuation remains attractively low, with a price-to-sales ratio under 2, compared to TSMC's 8.5, leaving plenty of room for multiple expansion as earnings momentum improves and investor sentiment turns positive. History shows that undervalued companies staging a comeback can deliver compelling investment stories. When it comes to INTC, most of Wall Street is sitting on the fence. Intel carries a consensus Hold rating, backed by two Buys, 25 Holds, and four Sells. INTC's average stock price target is $21.29, suggesting roughly 6.5% upside over the next year. Although this suggests it may be premature to expect significant gains, investors who buy now and hold through the stagnation phase could reap rewards if sentiment improves—truly a case of being better off being early than too late. There's no guarantee Intel will pull off a complete turnaround, but the company is clearly taking bold steps to tackle years of bloat, strategic drift, and technological lag. Still, winning over a competitive market dominated by entrenched giants won't be easy—it will require grit from management, sharp strategic vision, and a bit of luck. That said, for portfolios seeking value-driven upside, a modest stake in Intel could be a worthwhile investment. I'm cautiously optimistic. Disclaimer & DisclosureReport an Issue Error in retrieving data Sign in to access your portfolio Error in retrieving data Error in retrieving data Error in retrieving data Error in retrieving data
Yahoo
09-06-2025
- Business
- Yahoo
Big cat sighting: Intel shows off Panther Lake at Computex
When you buy through links on our articles, Future and its syndication partners may earn a commission. Computex 2025 was a rather quiet show this year, and no company was quite as quiet as Intel. We didn't get a keynote or a press conference from Team Blue, but that doesn't mean the company was entirely silent. In small press demos, Intel showcased its new flagship Arc Pro B50 and B60 GPUs and walked folks through the recent improvements to the Arrow Lake lineup. For the company's upcoming 18A flagship CPU, Panther Lake did appear in two demos on test rigs. While we still haven't had a deep dive into Panther Lake, here's what we know so far. Intel's Panther Lake chipset is expected to enter production this fall with a full consumer-ready launch beginning in early 2026. Panther Lake is a chipset built for mobile platforms and will likely hit the shelves as the Intel Core Ultra 300 series with the classic H and U suffixes. Panther Lake is the first commercial chip to be made with Intel's 18A (1.8nm) foundry process, and will thus be the company's first chipset made on a two-nanometer-class process node. Intel's Core Ultra 200V Lunar Lake series was a 'one-off' in that the suffix won't be reused in the 300 series. But that doesn't mean the chipset is entirely done. Intel sees Panther Lake as a "best of both worlds" solution, with the power efficiency of Lunar Lake and the performance of Arrow Lake H. Intel is also updating its Arc integrated graphics platform to fit on the Panther Lake SoC (system-on-a-chip), offering enhanced 3D rendering and AI performance. Intel expects Panther Lake chipsets to power consumer laptops, gaming hardware (including potential gaming handhelds), and commercial laptops, from standard business productivity devices to workstations. As the Panther Lake chipset is still not in full production, Intel was showcasing the new silicon in reference validation platforms and prototype dev kits used in Intel's internal test labs. Intel's demo team tasked Panther Lake with automatic AI masking in DaVinci Resolve, and the color changes and text implementation were done near instantaneously. Intel also demonstrated Panther Lake's LLM power with Qwen 2.5, tasking the AI with creating a Python program. Panther Lake's AI accelerators kicked back an instant token, essentially doing the work of weeks (or months) in a heartbeat. Intel's final Panther Lake demo was again an AI task, this time using Topaz Labs' photo upscaling to increase the pixel count and balance the colors of a photo simultaneously. But don't just take my word for it. Intel published a walkthrough of all three Panther Lake demos to YouTube. Since Intel makes chips and not end-user devices, the performance seen in the demos may not be entirely indicative of what we get from laptop manufacturers. But the demos are incredibly compelling, even if performance is likely to vary across different slices of the chipset and different OEM configurations. Panther Lake is on track for production in the second half of this year, with a full consumer launch expected in early 2026. If any early Panther Lake systems do end up hitting the market, I wouldn't expect to see them until at least October. Lunar Lake launched in early September last year, but Arrow Lake H didn't come in until February, so Panther Lake is likely to come somewhere between those two timeframes. We'll likely get more details on Panther Lake over the summer, if not at a full press conference, as part of an Intel Tech Tour. The initial launch could come any time between September and December 2025, with broader availability coming between January and March. "I'm not worried about our competitors": What Qualcomm's Snapdragon strategy says about Intel, Apple, and AMD I can't stop thinking about this ROG gaming keyboard, it can transform into the perfect keyboard for almost anyone Qualcomm gambled on repeating its own ads at Computex, but did it pay off?
Yahoo
09-06-2025
- Yahoo
Intel Nova Lake: Everything we know so far
When you buy through links on our articles, Future and its syndication partners may earn a commission. We know that Intel's upcoming chip generation will include the Panther Lake and Arrow Lake Refresh architectures. Panther Lake will be for thin and light laptops and possibly some gaming handhelds, while Arrow Lake Refresh will hit desktops and high-performance gaming and workstation laptops. While not yet officially confirmed, these architectures are expected to go to market as the Intel Core Ultra 300 generation. But what about the next generation after that? Considering what we know of Intel's development roadmap, the expected Core Ultra 400 chips are being worked on under the codename Nova Lake. But what do we know about Intel's ambitious 2026 chipset so far? Let's take a look. Nova Lake is the codename for Intel's next major CPU architecture, following Arrow Lake Refresh and Panther Lake this year. Based on Intel's usual timeline, we don't expect to see Nova Lake until 2026. It will likely be released sometime in the fall, and more details on the chipset will emerge in the summer and early fall of that year. Intel Nova Lake is an SoC (system-on-a-chip) style processor, with a CPU and GPU integrated into the tile. Intel will likely include an NPU on Nova Lake, as it did for Arrow Lake. Nova Lake's CPU tile reportedly features up to 52 cores, comprised of Coyote Cove P (performance) cores and Arctic Wolf E (efficiency) cores. Early details on Nova Lake's architecture imply the chipset will have a hybrid integrated GPU that combines Intel's Xe 3 and Xe 4 graphics architectures on the integrated graphics tile. According to well-known Intel leaker Jaykihn, the Xe 3 architecture is expected to handle graphics rendering, while the Xe 4 section of the tile will be used for display and media operations. This could offer stronger integrated graphics power to Nova Lake systems, potentially negating the need for a discrete GPU. While Intel has made serious strides with its discrete Arc GPU business, the company has also made powerful claims about its integrated graphics performance, particularly on mobile platforms. The split graphics architecture on Nova Lake could indicate a switch from discrete GPUs to integrated graphics, even on desktop. Though only time will tell. Nova Lake is currently expected to power desktops (-S suffix chipsets), though there are also rumors of a high-performance (-H suffix) laptop variant and potentially a more efficiency-focused (-U suffix) laptop version. Desktop Nova Lake chipsets will likely be available immediately at launch, though it appears anyone looking to upgrade their PC with Nova Lake will also need to invest in a new motherboard. The chipset changes the socket type to LGA1954, which is different from the current Arrow Lake and Arrow Lake Refresh models. Intel traditionally launches the desktop variant of an architecture first, so Nova Lake will likely launch on desktop in the fall, with laptops coming in early 2027. It's still early to know how Nova Lake will perform or to have too many confirmed details on the chipset's architecture. But we will be collating more information as it becomes available ahead of the SoC's launch. In the meantime, Intel is expected to launch its Panther Lake and Arrow Lake Refresh chipsets later this year. The Nvidia GPU you actually want could launch next month AMD Ryzen AI Max Geekbench scores reveal a power drop in 300-series APUs Snapdragon X2 Elite leak teases a monster 18-core chip with 64GB RAM