Latest news with #advancedPackaging


Globe and Mail
2 days ago
- Business
- Globe and Mail
KLAC Scales in Advanced Packaging: Is Growth Set to Increase Further?
KLA Corporation KLAC is scaling its advanced packaging business as demand rises for High-Bandwidth Memory (HBM) and advanced logic nodes to support AI workloads. KLAC's inspection and metrology tools support 2.5D and 3D integration, which are critical for improving performance and yield in multi-die architectures. To meet growing complexity, KLAC is expanding its packaging portfolio to address tighter tolerances, process variability and accelerated production ramps. Foundry and memory customers are deepening adoption across high-density nodes, reinforcing momentum in performance-centric packaging flows. The advanced packaging business is included in the Semiconductor Process Control segment, which reported $2.74 billion in revenue for the third quarter of fiscal 2025, up 31% year over year. The figure beat the Zacks Consensus Estimate by 0.76%. Advanced packaging revenues are projected to surpass $850 million in 2025, driven by rising demand from both foundry and memory customers. As AI-centric architectures scale, KLAC's tools are playing a central role in enabling next-generation packaging. With deep domain expertise and an expanding footprint, the company is well-positioned to capture share as advanced packaging becomes a key growth driver across the semiconductor industry. KLA Faces Competitive Pressure KLAC's inspection and metrology leadership in advanced packaging is facing growing competition from Applied Materials AMAT and ASML Holdings ASML, both of which are scaling their roles in enabling complex integration across logic and memory devices. Applied Materials is advancing its position with deposition, etch, and bonding solutions tailored for high-density packaging. AMAT's investments in hybrid integration platforms and packaging-specific workflows support rising demand from AI-driven logic and HBM customers. These capabilities give Applied Materials an expanding footprint in advanced back-end processes. ASML Holdings is gaining relevance through its EUV lithography systems, which are increasingly used in advanced patterning steps that support downstream packaging performance. As customers transition to tighter geometries and 3D structures, ASML Holding's tools play a critical role in enabling pattern fidelity and placement precision, indirectly supporting the adoption of advanced packaging across leading fabs. KLAC's Share Price Performance, Valuation and Estimates KLAC's shares have appreciated 46.2% year to date, while the broader Zacks Computer & Technology sector has increased 5.7% and the Zacks Electronics - Miscellaneous Products industry has jumped 12.9%. KLAC Performance Image Source: Zacks Investment Research KLAC stock is trading at a premium, with a forward 12-month Price/Sales of 10.11X compared with the industry's 3.2X. KLAC has a Value Score of C. KLAC Valuation Image Source: Zacks Investment Research The Zacks Consensus Estimate for fourth-quarter fiscal 2025 earnings is pegged at $8.53 per share, up by a penny over the past 30 days, indicating 29.24% year-over-year growth. The consensus mark for KLAC's 2025 earnings is pegged at $32.46 per share, which is up by a penny over the past 30 days. The figure indicates a 36.73% increase year over year. KLAC currently carries a Zacks Rank #2 (Buy). You can see the complete list of today's Zacks #1 Rank (Strong Buy) stocks here. 5 Stocks Set to Double Each was handpicked by a Zacks expert as the #1 favorite stock to gain +100% or more in the coming year. While not all picks can be winners, previous recommendations have soared +112%, +171%, +209% and +232%. Most of the stocks in this report are flying under Wall Street radar, which provides a great opportunity to get in on the ground floor. Today, See These 5 Potential Home Runs >> Want the latest recommendations from Zacks Investment Research? Today, you can download 7 Best Stocks for the Next 30 Days. Click to get this free report KLA Corporation (KLAC): Free Stock Analysis Report Applied Materials, Inc. (AMAT): Free Stock Analysis Report
Yahoo
25-06-2025
- Business
- Yahoo
Why chip packaging is the next big thing
As demand for faster, smaller, and more energy-efficient electronics intensifies, advanced packaging has emerged as a critical enabler of progress in the semiconductor industry. By integrating multiple chips and components into compact, high-performance assemblies, advanced packaging technologies are pushing the boundaries of what is possible in computing, telecommunications, and consumer devices. Yet, with innovation comes complexity, and the journey forward is marked by both formidable challenges and promising opportunities. One of the central drivers of advanced packaging is the need to overcome the limitations of traditional Moore's Law scaling. Rather than simply shrinking transistors on a single chip, engineers are now turning to heterogeneous integration—combining different dies, often built on different process nodes, into a unified system. Techniques such as 2.5D and 3D integration, chiplets, and system-in-package (SiP) designs enable this approach, improving bandwidth and reducing latency while conserving power and space. However, these innovations introduce a host of design and manufacturing complexities. Aligning multiple dies with micron-level precision, managing thermal profiles, and ensuring signal integrity across interposers and through-silicon vias (TSVs) require sophisticated engineering. As packaging architectures become more intricate, testing and yield management become more difficult, often inflating production costs. The lack of standardisation in chiplet interfaces and interconnect protocols adds another layer of complexity, slowing down broader adoption. Furthermore, packaging must now accommodate high-density interconnects, low-loss materials, and advanced substrates—all of which demand new investments in research, tooling, and infrastructure. Foundries and OSAT (outsourced semiconductor assembly and test) providers are under pressure to evolve their capabilities, but not all players can afford the capital or talent to keep pace. This has led to a fragmented landscape, where only a few leading firms dominate the high-end packaging space. As performance expectations grow, so does the demand for advanced packaging materials that can handle heat, minimise interference, and support high-speed data transmission. Traditional organic substrates are increasingly giving way to alternatives such as glass, ceramic, and silicon interposers, each offering unique advantages in terms of electrical performance and thermal conductivity. Yet, new materials bring their own set of trade-offs. Glass substrates, for example, offer excellent flatness and signal integrity but pose challenges in terms of brittleness and manufacturability. Similarly, managing coefficient of thermal expansion (CTE) mismatches between different materials is critical to prevent warping or delamination during thermal cycling. Beyond performance, the industry is also grappling with the environmental impact of advanced packaging. As manufacturing processes become more resource-intensive, concerns around energy consumption, chemical usage, and waste generation are mounting. Regulatory pressures and corporate sustainability goals are forcing firms to consider eco-friendly alternatives in materials and process flows. Efforts are underway to reduce the carbon footprint of packaging by minimising the number of layers, using recyclable materials, and optimising thermal management to reduce power usage in end devices. However, these strategies are still in early stages, and scaling them without compromising performance remains a challenge. Despite technical and economic hurdles, the market outlook for advanced packaging remains robust. With artificial intelligence (AI), 5G, autonomous vehicles, and edge computing driving demand for high-performance, energy-efficient processors, advanced packaging is becoming a key differentiator in system design. Chiplet architectures, in particular, offer manufacturers the flexibility to mix and match IP blocks across nodes, enabling faster time-to-market and cost efficiencies. Leading semiconductor firms are investing heavily in packaging R&D, recognising its strategic value. Intel's Foveros and EMIB technologies, TSMC's CoWoS and InFO platforms, and Samsung's X-Cube all demonstrate how advanced packaging has become a focal point in the global chip race. These proprietary solutions aim to balance performance, scalability, and yield—often with proprietary ecosystems that can lock in customers. Meanwhile, industry collaborations are emerging to address standardisation and interoperability challenges. Initiatives like the Universal Chiplet Interconnect Express (UCIe) are working to define open standards for chiplet communication, potentially accelerating broader adoption and reducing development costs. Looking ahead, the convergence of advanced packaging with emerging technologies such as photonic interconnects, quantum computing, and neuromorphic architectures promises to reshape the semiconductor landscape. As packaging shifts from a backend concern to a frontline innovation arena, it will play an increasingly central role in defining the capabilities and economics of future electronic systems. Advanced packaging sits at the intersection of opportunity and challenge, reshaping the way chips are designed, manufactured, and integrated. While the path forward involves overcoming significant technical and environmental hurdles, the prospects are compelling. As industries continue to seek greater performance in smaller, more efficient forms, the role of advanced packaging will only grow in significance. For engineers, manufacturers, and policymakers alike, understanding this evolving domain is key to navigating the future of technology. "Why chip packaging is the next big thing" was originally created and published by Packaging Gateway, a GlobalData owned brand. The information on this site has been included in good faith for general informational purposes only. It is not intended to amount to advice on which you should rely, and we give no representation, warranty or guarantee, whether express or implied as to its accuracy or completeness. You must obtain professional or specialist advice before taking, or refraining from, any action on the basis of the content on our site. Error in retrieving data Sign in to access your portfolio Error in retrieving data Error in retrieving data Error in retrieving data Error in retrieving data
Yahoo
08-06-2025
- Business
- Yahoo
Why this key chip technology is crucial to the AI race between the US and China
In the largest single foreign investment in US history, Taiwan Semiconductor Manufacturing Company has unveiled a $100 billion investment, drawing global attention and prompting concern in Taiwan. TSMC, which produces more than 90% of the world's advanced semiconductor chips that power everything from smartphones and artificial intelligence (AI) applications to weapons, will build two new advanced packaging facilities in Arizona, among others. Here's everything you need to know about advanced packaging technology, which has seen exponential demand growth along with the global AI frenzy, and what that means for the struggle between the US and China for AI dominance. While the two countries have announced a temporary truce that rolled back disruptive three-digit tariffs for 90 days, the relationship remains tense because of ongoing feuding over chip restrictions imposed by the US and other issues. Last month at Computex, an annual trade show in Taipei that has been thrust under the limelight because of the AI boom, the CEO of chipmaker Nvidia, Jensen Huang, told reporters that 'the importance of advanced packaging for AI is very high,' proclaiming that 'no one has pushed advanced packaging harder than me.' Packaging generally refers to one of the manufacturing processes of semiconductor chips, which means sealing a chip inside a protective casing and mounting it to the motherboard that goes into an electronic device. Advanced packaging, specifically, refers to techniques that allow more chips — such as graphic processing units (GPU), central processing units (CPU) or high bandwidth memory (HBM) — to be placed closer together, leading to better overall performance, faster transmission of data and lower energy consumption. Think of these chips as different departments within a company. The closer these departments are to each other, the easier it is, and less time it takes, for people to travel between them and exchange ideas, and the more efficient the operation becomes. 'You're trying to put the chips as close together as possible, and you're also putting in different solutions to make the connection between the chips very easy,' Dan Nystedt, vice president of Asia-based private investment firm TrioOrient, told CNN. In a way, advanced packaging keeps afloat Moore's Law, the idea that the number of transistors on microchips would double every two years, as breakthroughs in the chip fabrication process become increasingly costly and more difficult. While there are many types of advanced packaging technologies, CoWoS, short for Chips-on-Wafer-on-Substrate and invented by TSMC, is arguably the best known that was thrown under the limelight since the debut of OpenAI's ChatGPT, which sparked the AI frenzy. It has even become a household name in Taiwan, prompting Lisa Su, CEO of Advanced Micro Devices (AMD), to say that the island is the 'only place that you can say CoWoS and everybody would understand.' Advanced packaging has become a big deal in the tech world because it ensures AI applications, which require a lot of complex computing, run without delays or glitches. CoWoS is indispensable to producing AI processors, such as the GPUs produced by Nvidia and AMD that are used in AI servers or data centers. 'You could call it the Nvidia packaging process if you want to. Almost anyone making AI chips is using the CoWoS process,' said Nystedt. That is why demand for CoWoS technology has skyrocketed. As a result, TSMC is scrambling to ramp up production capacity. In a visit to Taiwan in January, Huang told reporters that the amount of advanced packaging capacity currently available was 'probably four times' what it was less than two years ago. 'The technology of packaging is very important to the future of computing,' he said. 'We now need to have very complicated advanced packaging to put many chips together into one giant chip.' If advanced fabrication is one piece of the puzzle in terms of chip manufacturing, advanced packaging is another. Analysts say having both pieces of that jigsaw in Arizona means the US will have a 'one-stop shop' for chip production and a strengthened position for its AI arsenal, benefitting Apple, Nvidia, AMD, Qualcomm and Broadcom, some of TSMC's top clients. 'It ensures that the US has a complete supply chain from advanced manufacturing to advanced packaging, which would benefit the US' competitiveness in AI chips,' Eric Chen, an analyst with market research firm Digitimes Research, told CNN. Because advanced packaging technologies key to AI are currently only produced in Taiwan, having it in Arizona also reduces potential supply chain risks. 'Instead of having all eggs in one basket, CoWoS would be in Taiwan and also the US, and that makes you feel more safe and secure,' said Nystedt. While CoWoS got its moment recently, the technology has actually existed for at least 15 years. It was the brainchild of a team of engineers led by Chiang Shang-yi, who served two stints at TSMC and retired from the company as its co-chief operating officer. Chiang first proposed developing the technology in 2009 in an attempt to fit more transistors into chips and solve bottlenecks in performance. But when it was developed, few companies took up the technology because of the high cost associated with it. 'I only had one customer … I really became a joke (in the company), and there was so much pressure on me,' he recalled in a 2022 oral history project recorded for the Computer History Museum in Mountain View, California. But the AI boom turned CoWoS around, making it one of the most popular technologies. 'The result was beyond our original expectation,' Chiang said. In the global semiconductor supply chain, companies that specialize in packaging and testing services are referred to as outsourced semiconductor assembly and test (OSAT) firms. In addition to TSMC, South Korea's Samsung and America's Intel, as well as OSAT firms including China's JCET Group, America's Amkor and Taiwan's ASE Group and SPIL are all key players in advanced packaging technologies.
Yahoo
07-06-2025
- Business
- Yahoo
Why this key chip technology is crucial to the AI race between the US and China
In the largest single foreign investment in US history, Taiwan Semiconductor Manufacturing Company has unveiled a $100 billion investment, drawing global attention and prompting concern in Taiwan. TSMC, which produces more than 90% of the world's advanced semiconductor chips that power everything from smartphones and artificial intelligence (AI) applications to weapons, will build two new advanced packaging facilities in Arizona, among others. Here's everything you need to know about advanced packaging technology, which has seen exponential demand growth along with the global AI frenzy, and what that means for the struggle between the US and China for AI dominance. While the two countries have announced a temporary truce that rolled back disruptive three-digit tariffs for 90 days, the relationship remains tense because of ongoing feuding over chip restrictions imposed by the US and other issues. Last month at Computex, an annual trade show in Taipei that has been thrust under the limelight because of the AI boom, the CEO of chipmaker Nvidia, Jensen Huang, told reporters that 'the importance of advanced packaging for AI is very high,' proclaiming that 'no one has pushed advanced packaging harder than me.' Packaging generally refers to one of the manufacturing processes of semiconductor chips, which means sealing a chip inside a protective casing and mounting it to the motherboard that goes into an electronic device. Advanced packaging, specifically, refers to techniques that allow more chips — such as graphic processing units (GPU), central processing units (CPU) or high bandwidth memory (HBM) — to be placed closer together, leading to better overall performance, faster transmission of data and lower energy consumption. Think of these chips as different departments within a company. The closer these departments are to each other, the easier it is, and less time it takes, for people to travel between them and exchange ideas, and the more efficient the operation becomes. 'You're trying to put the chips as close together as possible, and you're also putting in different solutions to make the connection between the chips very easy,' Dan Nystedt, vice president of Asia-based private investment firm TrioOrient, told CNN. In a way, advanced packaging keeps afloat Moore's Law, the idea that the number of transistors on microchips would double every two years, as breakthroughs in the chip fabrication process become increasingly costly and more difficult. While there are many types of advanced packaging technologies, CoWoS, short for Chips-on-Wafer-on-Substrate and invented by TSMC, is arguably the best known that was thrown under the limelight since the debut of OpenAI's ChatGPT, which sparked the AI frenzy. It has even become a household name in Taiwan, prompting Lisa Su, CEO of Advanced Micro Devices (AMD), to say that the island is the 'only place that you can say CoWoS and everybody would understand.' Advanced packaging has become a big deal in the tech world because it ensures AI applications, which require a lot of complex computing, run without delays or glitches. CoWoS is indispensable to producing AI processors, such as the GPUs produced by Nvidia and AMD that are used in AI servers or data centers. 'You could call it the Nvidia packaging process if you want to. Almost anyone making AI chips is using the CoWoS process,' said Nystedt. That is why demand for CoWoS technology has skyrocketed. As a result, TSMC is scrambling to ramp up production capacity. In a visit to Taiwan in January, Huang told reporters that the amount of advanced packaging capacity currently available was 'probably four times' what it was less than two years ago. 'The technology of packaging is very important to the future of computing,' he said. 'We now need to have very complicated advanced packaging to put many chips together into one giant chip.' If advanced fabrication is one piece of the puzzle in terms of chip manufacturing, advanced packaging is another. Analysts say having both pieces of that jigsaw in Arizona means the US will have a 'one-stop shop' for chip production and a strengthened position for its AI arsenal, benefitting Apple, Nvidia, AMD, Qualcomm and Broadcom, some of TSMC's top clients. 'It ensures that the US has a complete supply chain from advanced manufacturing to advanced packaging, which would benefit the US' competitiveness in AI chips,' Eric Chen, an analyst with market research firm Digitimes Research, told CNN. Because advanced packaging technologies key to AI are currently only produced in Taiwan, having it in Arizona also reduces potential supply chain risks. 'Instead of having all eggs in one basket, CoWoS would be in Taiwan and also the US, and that makes you feel more safe and secure,' said Nystedt. While CoWoS got its moment recently, the technology has actually existed for at least 15 years. It was the brainchild of a team of engineers led by Chiang Shang-yi, who served two stints at TSMC and retired from the company as its co-chief operating officer. Chiang first proposed developing the technology in 2009 in an attempt to fit more transistors into chips and solve bottlenecks in performance. But when it was developed, few companies took up the technology because of the high cost associated with it. 'I only had one customer … I really became a joke (in the company), and there was so much pressure on me,' he recalled in a 2022 oral history project recorded for the Computer History Museum in Mountain View, California. But the AI boom turned CoWoS around, making it one of the most popular technologies. 'The result was beyond our original expectation,' Chiang said. In the global semiconductor supply chain, companies that specialize in packaging and testing services are referred to as outsourced semiconductor assembly and test (OSAT) firms. In addition to TSMC, South Korea's Samsung and America's Intel, as well as OSAT firms including China's JCET Group, America's Amkor and Taiwan's ASE Group and SPIL are all key players in advanced packaging technologies. Error while retrieving data Sign in to access your portfolio Error while retrieving data Error while retrieving data Error while retrieving data Error while retrieving data


CNN
07-06-2025
- Business
- CNN
Why this key chip technology is crucial to the AI race between the US and China
In the largest single foreign investment in US history, Taiwan Semiconductor Manufacturing Company has unveiled a $100 billion investment, drawing global attention and prompting concern in Taiwan. TSMC, which produces more than 90% of the world's advanced semiconductor chips that power everything from smartphones and artificial intelligence (AI) applications to weapons, will build two new advanced packaging facilities in Arizona, among others. Here's everything you need to know about advanced packaging technology, which has seen exponential demand growth along with the global AI frenzy, and what that means for the struggle between the US and China for AI dominance. While the two countries have announced a temporary truce that rolled back disruptive three-digit tariffs for 90 days, the relationship remains tense because of ongoing feuding over chip restrictions imposed by the US and other issues. Last month at Computex, an annual trade show in Taipei that has been thrust under the limelight because of the AI boom, the CEO of chipmaker Nvidia, Jensen Huang, told reporters that 'the importance of advanced packaging for AI is very high,' proclaiming that 'no one has pushed advanced packaging harder than me.' Packaging generally refers to one of the manufacturing processes of semiconductor chips, which means sealing a chip inside a protective casing and mounting it to the motherboard that goes into an electronic device. Advanced packaging, specifically, refers to techniques that allow more chips — such as graphic processing units (GPU), central processing units (CPU) or high bandwidth memory (HBM) — to be placed closer together, leading to better overall performance, faster transmission of data and lower energy consumption. Think of these chips as different departments within a company. The closer these departments are to each other, the easier it is, and less time it takes, for people to travel between them and exchange ideas, and the more efficient the operation becomes. 'You're trying to put the chips as close together as possible, and you're also putting in different solutions to make the connection between the chips very easy,' Dan Nystedt, vice president of Asia-based private investment firm TrioOrient, told CNN. In a way, advanced packaging keeps afloat Moore's Law, the idea that the number of transistors on microchips would double every two years, as breakthroughs in the chip fabrication process become increasingly costly and more difficult. While there are many types of advanced packaging technologies, CoWoS, short for Chips-on-Wafer-on-Substrate and invented by TSMC, is arguably the best known that was thrown under the limelight since the debut of OpenAI's ChatGPT, which sparked the AI frenzy. It has even become a household name in Taiwan, prompting Lisa Su, CEO of Advanced Micro Devices (AMD), to say that the island is the 'only place that you can say CoWoS and everybody would understand.' Advanced packaging has become a big deal in the tech world because it ensures AI applications, which require a lot of complex computing, run without delays or glitches. CoWoS is indispensable to producing AI processors, such as the GPUs produced by Nvidia and AMD that are used in AI servers or data centers. 'You could call it the Nvidia packaging process if you want to. Almost anyone making AI chips is using the CoWoS process,' said Nystedt. That is why demand for CoWoS technology has skyrocketed. As a result, TSMC is scrambling to ramp up production capacity. In a visit to Taiwan in January, Huang told reporters that the amount of advanced packaging capacity currently available was 'probably four times' what it was less than two years ago. 'The technology of packaging is very important to the future of computing,' he said. 'We now need to have very complicated advanced packaging to put many chips together into one giant chip.' If advanced fabrication is one piece of the puzzle in terms of chip manufacturing, advanced packaging is another. Analysts say having both pieces of that jigsaw in Arizona means the US will have a 'one-stop shop' for chip production and a strengthened position for its AI arsenal, benefitting Apple, Nvidia, AMD, Qualcomm and Broadcom, some of TSMC's top clients. 'It ensures that the US has a complete supply chain from advanced manufacturing to advanced packaging, which would benefit the US' competitiveness in AI chips,' Eric Chen, an analyst with market research firm Digitimes Research, told CNN. Because advanced packaging technologies key to AI are currently only produced in Taiwan, having it in Arizona also reduces potential supply chain risks. 'Instead of having all eggs in one basket, CoWoS would be in Taiwan and also the US, and that makes you feel more safe and secure,' said Nystedt. While CoWoS got its moment recently, the technology has actually existed for at least 15 years. It was the brainchild of a team of engineers led by Chiang Shang-yi, who served two stints at TSMC and retired from the company as its co-chief operating officer. Chiang first proposed developing the technology in 2009 in an attempt to fit more transistors into chips and solve bottlenecks in performance. But when it was developed, few companies took up the technology because of the high cost associated with it. 'I only had one customer … I really became a joke (in the company), and there was so much pressure on me,' he recalled in a 2022 oral history project recorded for the Computer History Museum in Mountain View, California. But the AI boom turned CoWoS around, making it one of the most popular technologies. 'The result was beyond our original expectation,' Chiang said. In the global semiconductor supply chain, companies that specialize in packaging and testing services are referred to as outsourced semiconductor assembly and test (OSAT) firms. In addition to TSMC, South Korea's Samsung and America's Intel, as well as OSAT firms including China's JCET Group, America's Amkor and Taiwan's ASE Group and SPIL are all key players in advanced packaging technologies.